IRVS VLSI IDEA INNOVATORS

IRVS VLSI IDEA INNOVATORS
VLSI Project, Embedded Project, Matlab Projects and courses with 100% Placements

Thursday, October 7, 2010

Architecture of VHDL

It specifies

• Behaviour
• Function
• Relationship between inputs and outputs of an entity

Syntax



• Architecture can contain only concurrent statements.
• A design can be described in an architecture using various levels of abstraction.
• An entity can have more than one architectures since a function can be implemented in a number of ways.
• There can be no architecture without an entity.

Architectural bodies

• Behavioural

– It is the high-level description.
– It contains a set of assignment statements to represent behaviour.
– No need to focus on the gate-level implementation of a design.



• Dataflow

–It uses concurrent signal assignment statements.



• Structural

– Components from libraries are connected together.
– Designs are hierarchical.
– each component can be individually simulated.
– it makes use of component instantiation.



Configuration

• Since a number of architectures can exist for an entity , using configuration statement we can bind a particular architecture to the entity.

Syntax



information shared byc